Pull to refresh

Простой SDR приёмник на ПЛИС

Reading time 9 min
Views 223K
В этой статье я расскажу о том, как на базе отладочной платы DE0-nano сделать достаточно простой КВ SDR приёмник.
Пример принимаемых сигналов:



Про технологию SDR можно почитать здесь. Вкратце — это методика приёма радиосигнала, в которой большой объем обработки информации производится в цифровом виде. Благодаря использованию ПЛИС и высокоскоростного АЦП, можно сделать приёмник, в котором даже перенос частоты «вниз» производится цифровым способом. Такой метод называется DDC (Digital Down Conversion), подробнее про него можно прочитать здесь и здесь (больше теории). Используя эту методику, можно сильно упростить приёмник, в котором единственной аналоговой частью становится АЦП.

А теперь поподробнее о моем приёмнике.
Его основой является ПЛИС производства компании Altera, установленная на отладочной плате DE0-Nano. Плата относительно дешевая (60$ для студентов), правда, с достаточно дорогой доставкой (50$). Сейчас она становится все более популярной у радиолюбителей, начинающих знакомство с ПЛИС.
Главная задача ПЛИС — «захватить» цифровой сигнал с АЦП, перенести его в область низких частот, отфильтровать и отправить результат на компьютер. Структурная схема приёмника, реализованного мной, имеет такой вид:


Рассмотрим последовательно компоненты, которые проходит радиосигнал и цифровая информация.

Антенна


У радиолюбителей есть поговорка «Хорошая антенна — лучший усилитель». Действительно, от антенны зависит очень многое. Большинство наиболее интересных сигналов на коротких волнах нельзя принять на простую антенну (например, на кусок провода). За городом особых проблем нет — достаточно длинный провод может работать хорошей антенной (на приём). В городе, особенно внутри крупных железобетонных домов все значительно хуже — длинную антенну не растянуть, при этом мешающих шумов очень много (бытовые приборы способны создавать в эфире очень большой уровень шума), так что выбор антенны становится непростым делом.
Для приёма радиосигналов я пользуюсь активной рамочной антенной, конструкция которой описана здесь.
Моя антенна выглядит так:

Фактически антенна представляет собой большой колебательный контур (конденсатор находится внутри коробки на столе). Установлена она на балконе, и достаточно неплохо работает. Основное достоинство рамочной антенны — за счет использования явления резонанса она позволяет подавлять шумы на неиспользуемых частотах, однако есть и недостаток — при переходе с одного диапазона частот на другой антенну нужно перестраивать.

АЦП


Выбор АЦП тоже непрост. АЦП должен обладать большой разрядностью для повышения динамического диапазона, а для DDC приёмника — еще и высоким быстродействием. Обычно в хорошие DDC приёмники ставят АЦП с разрядностью 16-бит и быстродействием >50 MSPS. Однако стоимость таких АЦП больше 50$, и в экспериментальную конструкцию хотелось поставить что-нибудь попроще.
Я выбрал AD9200 — 10-битный 20 MSPS АЦП стоимостью 200 руб. Это очень посредственные характеристики для DDC приёмника, однако, как показала практика, АЦП вполне пригоден для приёма сигналов.
АЦП установлен на отдельной плате, которая вставляется в отладочную:

Снизу плата металлизирована, слой металла соединен с землей АЦП, что тоже защищает от помех.
Схема подключения АЦП

Опыта разводки ВЧ конструкций у меня нет, так что возможно, что схему и разводку можно улучшить.

Так как АЦП оцифровывает только сигналы положительного уровня, а сигнал с антенны биполярный, то сигнал приходится смещать на половину опорного напряжения (для этого служат резисторы R1 и R2). Искусственно созданная постоянная составляющая затем вычитается уже из цифрового сигнала в ПЛИС.

Вся дальнейшая обработка сигнала после АЦП идет в ПЛИС.
Поток данных с АЦП составляет 200 Мбит (10-bit x 20 MSPS). Передать такой поток напрямую в компьютер, а потом его еще и обработать очень сложно, поэтому частоту сигнала нужно специально понизить. При переносе на более низкую частоту возникает явление «зеркального канала», для борьбы с которым используют квадратурное преобразование частоты — сигнал преобразовывают в комплексную форму (происходит разделение на два канала I/Q). Перенос на более низкую частоту производится путем умножения исходного сигнала на сигнал генератора. В используемой ПЛИС достаточно аппаратных умножителей, так что это не представляет проблемы.

NCO


Для того, чтобы переносить входной сигнал на нужную частоту, ее нужно создать. Для этого используется готовый компонент Quartus — NCO (numerically controlled oscillator). На генератор подается тактовая частота, такая же как и у АЦП (20 МГц), на его вход управления подается значение, определяющее частоту, и на его выходе формируется цифровой синусоидальный сигнал нужной частоты, дискретизованный с частотой 20 МГц. NCO способен параллельно формировать и косинусный сигнал, благодаря чему можно формировать квадратурный сигнал.

CIC-фильтр


После смешивания с сигналом генератора с выхода умножителей сигнал выходит уже перенесенный на более низкую частоту, но все еще с высокой частотой дискретизации (20 MSPS). Сигнал требуется децимировать, то есть отбросить часть выборок. Просто так отбросить лишние выборки нельзя, так как это приведет к искажению выходного сигнала. Поэтому сигнал нужно пропустить через специальный фильтр (CIC-фильтр). В данном случае я хотел получить на выходе приёмника частоту дискретизации сигнала 50 кГц. Из этого следует, что частота должна быть понижена в (20e6 / 50e3 = 400) раз. Децимацию придется производить в 2 этапа — сначала в 200, затем в 2 раза.
Первый этап выполняет именно CIC-фильтр. Я использовал 5-каскадный фильтр.
В результате работы CIC-фильтр за счет понижения полосы сигнала разрядность выходного сигнала увеличивается. С своем приёмнике я искусственно ограничил ее 16 битами.
Так как каналов в приёмнике два, то и фильтров потребуется тоже два.
К сожалению, CIC-фильтр имеет довольно крутую АЧХ, стремящуюся к 0 при приближении к выходной частоте дискретизации (100 кГц). Для компенсации ее кривизны служит следующий фильтр.

Компенсационный FIR-фильтр


Этот фильтр нужен для того, чтобы компенсировать спад АЧХ CIC-фильтра и выполнить еще один этап децимации (в два раза). В Altera уже позаботились о методике расчета этого фильтра — при создании CIC-фильтра автоматически формируется программа для Matlab, запустив которую, можно сформировать коэффициенты для компенсационного фильтра.
Вид АЧХ CIC, FIR и получающегося результата (графики строит та же программа для Matlab):

Видно, что на частоте 25 кГц CIC-фильтр ослабит сигнал на 20 Дб, что очень много, однако с использованием FIR-фильтра ослабление всего 10 Дб, а на более низких частотах ослабление практически отсутствует.
На выходе FIR-фильтра с учетом децимации будет частота дискретизации сигнала будет 50 кГц.
Почему нельзя сразу было произвести децимацию сигнала в 400 раз? Это связано с тем, что частота среза FIR-фильтра должна составлять 1/4 от его выходной. В данном случае частота дискретизации на выходе фильтра без децимации, как и на его входе, составляет 100 кГц. В результате этого частота среза будет как раз 25 кГц, что и видно на графиках выше.
Оба фильтра являются готовыми компонентами Quartus.

Передача данных на компьютер


Полученный поток данных ((16+16)bit x 50 KSPS = 1.6 Mbit) нужно передать на компьютер. Данные я решил передавать через Ethernet. На отладочной плате нет такого интерфейса. Наиболее правильно было бы сделать отдельную плату с контроллером PHY, запустить soft-процессор Nios, и передавать данные через них. Однако это значительно усложняет конструкцию. Я пошел более простым путем — Ethernet пакеты можно формировать на самой ПЛИС, таким образом можно передавать данные со скоростью 10 Mbit. В данном случае Ethernet кабель через разделительный трансформатор соединяется с выводами ПЛИС. Проекты с таким принципом работы можно посмотреть здесь и здесь.
В качестве основы я выбрал первый проект, частично его доработав. В изначальном проекте ПЛИС посылает на компьютер с заданным IP и MAC адресом определенный UDP-пакет. После переделки модуль Ethernet передатчика мог передавать 1024 байта, считывая их из RAM. В результате на компьютер в одном пакете отправляются 256 пар 16-битных значений сигнала, взятого с выходов фильтров. Так как данные поступают от АЦП непрерывно, а отправлять их на компьютер нужно пакетами, то пришлось реализовать двойную буферизацию памяти — в то время, пока одна RAM заполняется, данные из другой RAM передаются по Ethernet. После того, как первая RAM заполнится, обе RAM «меняются местами», за что отвечает довольно простой управляющий модуль.
Так как на выходе фильтров данные передаются потоком из пары 16 битных величин, а по Ethernet передаются отдельные байты, то для преобразования потоков в конструкцию введен модуль, который преобразует поток 32 бит 50 KSPS в 8 бит 200 KSPS.

Как оказалось, если передавать поток данных со скоростью 1.6 Mbit, то устройство, к которому подключен приёмник, даже не обнаруживает его (нет линка). Это связано с тем, что пакеты данных при этом передаются с периодом примерно 5 мс, а для того, чтобы сообщить другому сетевому устройству скорость подключения (10 Mbit), нужно каждые 8-24 мс передавать специальный короткий импульс (NLP). Из-за высокой частоты передачи пакетов, модуль Ethernet не успевает передавать эти импульсы, и Autonegotiation не происходит.
Поэтому, для того, чтобы противоположное устройство все же могло определить скорость соединения, достаточно при включении приёмника временно уменьшить частоту передачи пакетов (у меня — в 4 раза), благодаря чему модуль Ethernet успевает передать импульсы NLP.

Приём данных от компьютера


Для того, чтобы управлять приёмником (устанавливать частоту настройки), на него нужно передавать определенную величину, которая будет использоваться для задания частоты NCO.
Для приёма этой величины так же используется компонент с вышеуказанного сайта, модифицированный для приёма данных, и выдачи их в виде 24 битного числа. Так как модули приёмника и передатчика никак не связаны друг с другом, то реализовать ARP нельзя, и фактически это значит, что приёмник не будет иметь IP и MAC адреса. Передать информацию на него можно, если отправить в сеть широковещательный пакет.
Физически, как и в случае с передатчиком, сетевой провод соединяется с отладочной платой через трансформатор. Однако здесь уже нельзя подключаться к произвольным выводам ПЛИС, так как сигнал достаточно мал. Нужно использовать выводы, поддерживающие интерфейс LVDS — он является дифференциальным.

Ресурсы, используемые программой ПЛИС:
— 5006 LE
— 68 9-bit умножителей (64 из них используются в FIR -фильтре).
— 16,826 bit памяти (8 блоков M9K).

Вид проекта проекта в Quartus:


Обработка данных на компьютере


После того, как компьютер принял данные, их нужно обработать. Лучше всего взять готовую программу. Обычно в SDR программах реализовывают нужные цифровые фильтры, алгоритмы, предназначенные для формирования звука и его фильтрацию, БПФ принятого сигнала, построение его спектра и «водопада».
Я использую программы HDSDR и SDRSharp, они обе поддерживают ввод данных при помощи одинаковых библиотек ExtIO (формат программы Winrad). Требования программ к библиотеке хорошо документированы.
Вот здесь есть пример создания такой библиотеки. Я переделал этот пример, добавил в него приём данных из сети, склеивание двух пакетов (программа за раз приминает минимум 512 пар I/Q выборок), отправку их в программу, и передачу широковещательного пакета с вычисленным значением для NCO при смене частоты в программе. До этого мне никогда не приходилось создавать библиотеки, да и в C++ я не силен, так что в библиотека может быть написана совсем не оптимально.
Так как частота дискретизации I/Q сигналов на выходе фильтров приёмника составляет 50 кГц, то в программе при приёме для обзора будет доступна полоса 50 кГЦ. (± 25 кГц от частоты, формируемой NCO).

Собранный приёмник выглядит так:

Резистор соединяет средние точки трансформатора с 3.3 В платы — это улучшает приём и передачу данных по сети.

После того, как приёмник был полностью собран и все программы написаны, оказалось, что чувствительности не хватает. Даже на активную антенну принимались только вещательные радиостанции и сигналы радиолюбителей, работающих на больших мощностях.
Насколько я понимаю, это связано с низкой разрядностью АЦП. Для повышения чувствительности пришлось сделать дополнительный усилитель на транзисторе BF988 (находится внутри маленькой металлической коробочки). Усилитель смог заметно поднять чувствительность приёмника.
Внешний вид всей конструкции:


Блок питания обеспечивает напряжение 12 В для питания усилителя антенны, в металлической круглой коробке находятся несколько диапазонных полосовых фильтров, которые снижают внедиапазонные сигналы, что улучшает прим сигналов. Отмечу, что во многих случаях приём возможен и без ДПФ.

Теперь о том, что же удается принять на КВ. Несмотря на достаточно высокий уровень шумов, удается принять достаточно много сигналов, хорошо принимаются вещательные радиостанции, неплохо принимаются радиолюбители.
Пример приема сигналов в программе HDSDR (приём велся во время CQ WW DX Contest):

Видео приёма:


Удается принять сигналы WSPRnet. WSPRnet — сеть радиолюбительских маяков, которые автоматически обмениваются короткими сообщениями друг с другом. Данные от маяков автоматически публикуются в интернете. В данном случае, установив специальную программу, можно декодировать принятые сигналы и отправлять их в сеть. На сайте есть возможность посмотреть карту, на которой показываются связи между маяками за определенный интервал времени.
Вот что получилось у меня за полдня приема:

Важная особенность WSPR — очень маленькая мощность передатчиков (меньше 5 Вт), узкая полоса передаваемого сигнала, и большая длительность передачи одного сообщения (2 мин). Благодаря цифровой обработке в программе-декодере удается принимать очень слабые сигналы. Мне удавалось принять сигнал маяка мощностью 100 мВт, находящегося на расстоянии ~2000 км.

Радиолюбители, работающие с использованием JT65. JT65 — это один из протоколов цифровой связи между радиолюбителями. Как и в WSPR, в нем используются маленькие мощности и длительные передачи (1 мин). Принимаются сообщения автоматически, так что можно оставить приемник на длительное время, а потом смотреть, кого удалось принять.
Пример приема:


Цифровое радиовещание (DRM). Некоторые вещательные радиостанции передают звук в цифровом виде. Принять такие сигналы непросто в условиях города — не хватает уровня сигнала. Одну станцию принять удалось:


Существует множество других радиосигналов, которые было бы интересно принять. Есть еще погодные факсы, станция точного времени RBU (на чудной частоте 66.6 кГц), и другие.

Проект для Quartus + исходный код и сама ExtIO dll
Tags:
Hubs:
If this publication inspired you and you want to support the author, do not hesitate to click on the button
+65
Comments 28
Comments Comments 28

Articles